Suche nach Personen

plus im Publikationsserver
plus bei Google Scholar

Bibliografische Daten exportieren
 

Synthesis and Combinatorial Optimization of Novel Star-Shaped Resist Materials for Lithographic Applications

URN zum Zitieren der Version auf EPub Bayreuth: urn:nbn:de:bvb:703-opus4-10590

Titelangaben

Wieberger, Florian:
Synthesis and Combinatorial Optimization of Novel Star-Shaped Resist Materials for Lithographic Applications.
Bayreuth , 2012
( Dissertation, 2012 , Universität Bayreuth, Fakultät für Biologie, Chemie und Geowissenschaften)

Volltext

[thumbnail of Diss.pdf]
Format: PDF
Name: Diss.pdf
Version: Veröffentlichte Version
Verfügbar mit der Lizenz Creative Commons BY-NC-ND 3.0: Namensnennung, nicht kommerziell, keine Bearbeitung
Download (5MB)

Abstract

Gordon Earle Moore predicted in the mid-1960s the cost-efficient doubling of transistors’ number on integrated circuits every two years – known as Moore’s Law. Leading companies orientates by the development of integrated circuits on this Moore’s Law and contributed to this prediction to come true up to the present. In so doing, the semiconductor industry drafts every two years aims to fulfill this prediction summarized in the so-called International Technology Roadmap for Semiconductors (ITRS). The ITRS lists guidelines for cost-effective progresses in performance of integrated circuits, e.g. design of integrated circuits, advancements of exposure tools and exposure techniques, and closely correlated resist materials. This thesis deals with the development of new resist materials and their combinatorial investigation concerning the performance in lithographic patterning. The lithographic patterning procedure is a sequence of multiple processing steps and thus this procedure involves many processing variables interacting strongly with each other. For understanding and comprehensive investigation of such multi-variable dependent systems the development and implementation of combinatorial approaches were in the focus of this thesis. Furthermore this thesis is focused on the synthesis of new tailored resist materials for lithographic patterning. Star topology was the selected polymer architecture of this new resist material realized via the core-first atom transfer radical polymerization (ATRP) technique. The lithographic performance of electron beam lithography patterning was investigated for the resulting randomly distributed star terpolymers and star block copolymers by combinatorial libraries in view of features’ quality. The first chapter deals with developed, adapted, and improved combinatorial techniques for thin film investigations in general and utilized for lithographic patterning investigations in particular. The lithographic patterning procedure of chemically amplified resist systems consists of various steps: film preparation, post apply bake (PAB) to remove residual solvent, exposure, post exposure bake (PEB) to activate the catalytic reaction, and development. For this rather complex process variable gradients were developed and adapted for each processing step to investigate and optimize the performance of especially new resist systems. For the film preparation a method was developed to prepare an internal material composition gradient. This was realized by a gradient extrudate prepared using two individual controllable syringe pumps and subsequent doctor-blading. The material composition gradient was verified by high performance liquid chromatography. The second (PAB) and also the fourth (PEB) processing step are both annealing processes of the resist film although they serve different purposes. For the investigation of such annealing processes temperature gradients were prepared adjustable in temperature range and temperature slope. This adjustability is ensured by the active heating and the active cooling source and also by the gap and the type of metal plate. For the third step exposure methods were developed to realize defined exposure dose gradients in very small areas of the resist film. Different exposure dose gradients were designed for photolithography as well as for electron beam lithography. For the latter case this dose gradient was programmed in the pattern design using the software which controls the electron beam during the exposure process. The dose gradient for photolithography investigations was realized by a special designed shadow mask. For the last processing step development a preliminary screening of the dissolubility conditions of the resist film was established utilizing quartz crystal microbalances. Based on this measured dissolubility behavior the time frame was set for development time gradients performed by a stepwise or continuously immersion of the resist films. Lastly two to three variable gradients were combined to binary or ternary combinatorial libraries, respectively. The ternary combinatorial libraries allow the investigation of three variables of the lithographic patterning process in one experiment. Thus it is possible to optimize a resist material system fast and efficiently in respect to resist performance. In the second chapter a star-shaped teroligomer is reported as new high potential resist type for lithographic patterning purposes. The polymerization was carried out via the core-first ATRP route using a functionalized saccharose with eight initiating sites as core. Four star-shaped teroligomers were synthesized with varying target arm lengths. In addition a saccharose molecule was synthesized with an average number of 3.5 initiating sites and thus a star oligomer was realized with a reduced arm number but an identical core and similar arm length. As reference resist material a linear model oligomer was synthesized using ethyl 2-bromoisobutyrate as initiator. For all polymers narrow monomodal distributions were detected with polydispersity index values of lower than 1.1. Based on calibration polymerizations runs the monomer feed of the three used monomers was adapted to achieve targeted monomer incorporations for all teroligomers. The targeted monomer incorporation was copied from a currently industrially used linear teroligomer. One star oligomer was selected as proof of principle for the utilization of the star architecture for lithographic purposes. This new resist material was combinatorial investigated in a ternary library and thus optimized in one experiment concerning exposure dose, PEB temperature, and development time. The optimized patterns with a feature size of 100 nm and an excellent line edge roughness (LER) value of 3.1 nm were observed. The last chapter of this thesis demonstrates the straight forward advancement of the star-shaped resist material reported in chapter two. The statistical monomer incorporation was exchanged by the introduction of the tailored star block copolymer architecture. This architecture was synthesized for the first time via the core-first ATRP route by full conversion of a first polar monomer and in-situ polymerization of additionally added nonpolar monomer. The successful syntheses were indicated by contact angle measurements showing increased hydrophobicity of star block copolymers in contrast to random star copolymers with the same monomer incorporation. The star block copolymers exhibited also enhanced dissolubility behavior characterized by quartz crystal microbalance measurements. Furthermore they demonstrated an up to eight times increased sensitivity at their lithographic application in contrast to the synthesized reference linear copolymer. The most promising star block copolymer was selected to investigate its lithographic performance. The optimization was performed in a ternary combinatorial library based on the gradient variables exposure dose and feature size, PEB temperature, and development time. The optimized pattern of clear lines and a feature size of 66 nm was observed with a LER value of 6 nm. To conclude, different tailored star-shaped terpolymers were synthesized using the ATRP core-first route and successfully applied in the lithographic patterning process for the first time. In addition the combinatorial optimization offers the absolutely promising potential of utilizing these star shaped resist materials by the demonstrated brilliant LER values, the achieved extremely high sensitivity, and the fast and efficient development of clear 66 nm lines.

Abstract in weiterer Sprache

Gordon Earle Moore prognostizierte Mitte der 1960er Jahre eine kostengünstige Verdopplung der Transistoranzahl von integrierten Schaltkreisen alle zwei Jahre – bekannt geworden als „Mooresches Gesetz“. Führende Unternehmen orientieren sich bei der Entwicklung von integrierten Schaltkreisen an diesem Mooreschen Gesetz und trugen dazu bei, diese Vorhersage bis heute zu verwirklichen. Dabei formuliert die Halbleiterindustrie zum Erfüllen dieser Voraussage alle zwei Jahre ihre Ziele, welche zusammengefasst in der sogenannten „International Technology Roadmap for Semiconductors“ (ITRS) nachgelesen werden können. Die ITRS ist somit eine Auflistung von Richtlinien, die eine wirtschaftliche Weiterentwicklung der Leistungsmerkmale von integrierten Schaltkreisen ermöglichen soll, wie zum Beispiel die Gestaltung der integrierten Schaltkreise und die Weiterentwicklung von Belichtungsmaschinen und –techniken, sowie – eng damit verbunden – Resistmaterialien. Diese Arbeit behandelt die Entwicklung von neuen Resistmaterialien und ihrer kombinatorischen Untersuchung hinsichtlich der wichtigen Leistungsmerkmale der lithographischen Strukturierung. Für die lithographische Strukturierung ist eine Abfolge von zahlreichen Prozessschritten nötig. Damit beinhaltet dieser Prozess eine große Anzahl von stark miteinander wechsel-wirkenden Verarbeitungsbedingungen. Zum Verständnis und zur umfassenden Untersuchung von derartigen Systemen, die durch viele Variablen beeinflussbar sind, waren die Entwicklung und Realisierung von kombinatorischen Anwendungen ein Schwerpunkt dieser Arbeit. Des Weiteren konzentrierte sich diese Arbeit auf die Synthese von neuen maßgeschneiderten Resistmaterialien für die lithographische Strukturierung. Die Stern¬topologie stellte sich hierbei als die vielversprechendste Polymerarchitektur für neues Resistmaterial heraus und wurde über eine vom Kern ausgehende „Atom Transfer Radical Polymerization“ (ATRP) Syntheseroute realisiert. Das lithographische Potential der neu hergestellten Resistmaterialien auf Basis von statistischen Sternterpolymere und Sternblockcopolymere wurde mittels Elektronenstrahllithographie in kombinatorischen Bibliotheken systematisch untersucht. Das erste Kapitel handelt von entwickelten, angepassten und verbesserten kombinatorischen Techniken zur Untersuchung dünner Filme im Allgemeinen und deren Anwendung auf die lithographische Strukturierung im Speziellen. Der lithographische Strukturierungsablauf von chemisch verstärkten Resisten beinhaltet die folgenden Schritte: Filmherstellung, einem Temperierungsprozess zur Entfernung des Lösungsmittel („post apply bake“; PAB), Belichtung, einem Temperierungsprozess zur Aktivierung der katalytischen Reaktion („post exposure bake“; PEB) und Entwicklung. Für diesen komplexen Gesamtprozess wurden Variablengradienten für jeden Verarbeitungsschritt entwickelt und angepasst, um das Potential neuer Resistsysteme zu untersuchen und zu optimieren. Für die Filmherstellung wurde eine Methode entwickelt um einen Zusammensetzungsgradienten zu präparieren. Dieser wurde verwirklicht durch ein Gradientenextrudat – hergestellt durch zwei individuell ansteuerbare Spritzenpumpen – das anschließend gerakelt wurde. Zum Nachweis der Umsetzung des Zusammensetzungsgradienten wurde Hochleistungsflüssigkeits-chromatographie genutzt. Der zweite (PAB) sowie der vierte (PEB) lithographische Verarbeitungsschritt sind jeweils Temperierungsschritte des Resistfilms, obgleich sie unterschiedlichen Zwecken dienen. Zur Untersuchung solcher Temperierungsprozesse wurden Temperaturgradienten erzeugt, die auf definierte Temperaturbereiche und steigungen einstellbar sind. Die Einstellung erfolgt dabei durch aktives Heizen und Kühlen sowie den gewählten Abstand und der Art der verwendeten dazwischen liegenden Metallplatte. Zum dritten Schritt – Belichtung – wurden Methoden entwickelt um definierte Belichtungsdosis-gradienten in sehr kleinen Flächen des Resistfilms zu realisieren. Unterschiedliche Belichtungsdosisgradienten wurden entworfen für Fotolithographie sowie für Elektronenstrahllithographie. Für den Dosisgradient vom letztgenannten wurde ein Belichtungsdesign an dem Computer programmiert, welcher den Elektronenstrahl während des Belichtungsprozesses steuert. Der Dosisgradient für die fotolithographischen Untersuchungen wurde durch eine speziell entworfene Schattenmaske realisiert. Für den letzten Verarbeitungsschritt, der Entwicklung, wurde eine Voruntersuchung der Löslichkeits-bedingungen des Resistfilms mit Hilfe der Schwingquarzmikrowaagentechnologie eingeführt. Basierend auf den gemessenen Löslichkeitsverhalten wurde das Zeitfenster für Entwicklungs-zeitgradienten gesetzt, der durch stufenweises oder kontinuierliches Eintauchen der Resistfilme in der Entwicklerlösung realisiert wurde. Schließlich wurden zwei bis drei Variablengradienten zu binären, beziehungsweise ternären kombinatorischen Bibliotheken vereinigt. Diese ternären kombinatorischen Bibliotheken erlauben die zeitgleiche Untersuchung von drei Variablen eines lithographischen Strukturierungsprozesses und ermöglichen so die schnelle und effiziente Optimierung eines Resistsystems in Bezug auf die Güte des Resists. Das zweite Kapitel handelt von der Synthese von sternförmigen Teroligomeren als potentiell neue Resistarchitektur für lithographische Strukturierungen. Die Polymerisation erfolgte vom Kern weg mittels ATRP. Den Kern bildete dabei ein mit acht Initiatoren funktionalisiertes Saccharose-Molekül. Nach dieser Route wurden vier sternförmige Teroligomere mit unterschiedlichen Armlängen synthetisiert. Des Weiteren wurde ein Saccharose-Molekül mit einer mittleren Initiatoranzahl von 3,5 synthetisiert und ausgehend von diesem zweiten Kern ein Sternteroligomer mit reduzierter Armanzahl, jedoch identischen Kern und ähnlicher Armlänge hergestellt. Weiterhin wurde ein lineares Teroligomer als Referenz-Resistmaterial mit dem Initiator Ethyl-2-brombutyrat synthetisiert. Alle hergestellten Polymere wiesen eine enge und monomodale Molekulargewichtsverteilung mit Polydispersitäten von kleiner 1,1 auf. Basierend auf zuvor ermittelten Monomereinwaagen wurden alle synthetisierten Teroligomere mit den geplanten Monomerverhältnissen erhalten. Dieses angestrebte Monomerverhältnis orientierte sich an dem eines derzeit industriell genutzten linearen Teroligomers. Von den synthetisierten Sternoligomeren wurde eines ausgewählt und im Hinblick auf die grundsätzliche Eignung der Sternarchitektur für lithographisches Strukturieren untersucht. Dieses neue Resistmaterial wurde kombinatorisch in einer ternären Bibliothek mit den Variablen Belichtungsdosis, PEB Temperatur und Entwicklungszeit in einem Optimierungsexperiment untersucht. Hierbei wurden optimierte Strukturen von 100 nm Breite mit einer exzellenten Kantenrauhigkeit von 3,1 nm erhalten. Das letzte Kapitel dieser Arbeit zeigt die zielstrebige Weiterentwicklung des sternförmigen Resistmaterials von Kapitel zwei. Der statistische Monomereinbau wurde hierbei ersetzt durch die Einführung der maßgeschneiderten Sternblockcopolymer-Architektur. Diese Architektur wurde zum ersten Mal über die vom Kern ausgehende ATRP-Route nach vollständigen Umsatz eines ersten Monomers und der in situ Polymerisation des danach hinzugefügten Monomers realisiert. Die erfolgreiche Synthese wurde durch Kontaktwinkelmessungen bekräftigt. Diese zeigte eine erhöhte Hydrophobizität für Stern-block¬copolymere im Vergleich zu den statistischen Sterncopolymeren mit gleichem Monomereinbau. Des Weiteren wiesen die Sternblockcopolymere eine erhöhte Löslichkeit im Entwickler auf, welche durch Messungen mit der Schwing¬quarz¬mikrowaage ermittelt wurde. Zusätzlich zeigten sie eine bis zu achtfach höhere lithographische Empfindlichkeit im Gegensatz zu dem synthetisierten linearen Referenz-Copolymer. Das vielversprechendste Sternblockcopolymer wurde für die anschließende lithographische Untersuchung in einer ternären kombinatorischen Bibliothek hinsichtlich Belichtungsdosis, Strukturgröße, PEB Temperatur und Entwicklungszeit ausgewählt. Mit diesem Resistsystem konnten klare Linien mit einer Breite von 66 nm und einer Kantenrauhigkeit von 6 nm realisiert werden. Zusammenfassend lässt sich feststellen, dass in dieser Arbeit unterschiedliche sternförmige Terpolymere über die vom Kern ausgehende ATRP-Route synthetisiert und erfolgreich zum ersten Mal im lithographischen Strukturierungsprozess eingesetzt wurden. Zusätzlich demonstrierte die kombinatorische Optimierung das durchweg vielversprechende Potential dieser sternförmigen Resistarchitektur. Die wesentlichen Verbesserungen stellen dabei die gezeigte hervorragende Kantenrauhigkeit, die erzielte überaus hohe Empfindlichkeit und allgemein der etablierte schnelle und effiziente kombinatorische Optimierungsprozess der zu klaren 66 nm Linien führte.

Weitere Angaben

Publikationsform: Dissertation (Ohne Angabe)
Keywords: Atom-Transfer-Polymerisation; Elektronenstrahllithographie; Photoresist; Kombinatorische Optimierung
Themengebiete aus DDC: 500 Naturwissenschaften und Mathematik > 540 Chemie
Institutionen der Universität: Fakultäten > Fakultät für Biologie, Chemie und Geowissenschaften > Fachgruppe Chemie
Fakultäten
Fakultäten > Fakultät für Biologie, Chemie und Geowissenschaften
Sprache: Englisch
Titel an der UBT entstanden: Ja
URN: urn:nbn:de:bvb:703-opus4-10590
Eingestellt am: 25 Apr 2014 06:10
Letzte Änderung: 25 Apr 2014 06:10
URI: https://epub.uni-bayreuth.de/id/eprint/186

Downloads

Downloads pro Monat im letzten Jahr